TU Darmstadt / ULB / TUbiblio

Intrinsic Run-Time Row Hammer PUFs: Leveraging the Row Hammer Effect for Run-Time Cryptography and Improved Security

Anagnostopoulos, Nikolaos Athanasios ; Arul, Tolga ; Fan, Yufan ; Hatzfeld, Christian ; Schaller, André ; Xiong, Wenjie ; Jain, Manishkumar ; Saleem, Muhammad Umair ; Lotichius, Jan ; Gabmeyer, Sebastian ; Szefer, Jakub ; Katzenbeisser, Stefan (2023)
Intrinsic Run-Time Row Hammer PUFs: Leveraging the Row Hammer Effect for Run-Time Cryptography and Improved Security.
In: Cryptography, 2018, 2 (3)
doi: 10.26083/tuprints-00016439
Artikel, Zweitveröffentlichung, Verlagsversion

WarnungEs ist eine neuere Version dieses Eintrags verfügbar.

Kurzbeschreibung (Abstract)

Physical Unclonable Functions (PUFs) based on the retention times of the cells of a Dynamic Random Access Memory (DRAM) can be utilised for the implementation of cost-efficient and lightweight cryptographic protocols. However, as recent work has demonstrated, the times needed in order to generate their responses may prohibit their widespread usage. To address this issue, the Row Hammer PUF has been proposed by Schaller et al., which leverages the row hammer effect in DRAM modules to reduce the retention times of their cells and, therefore, significantly speed up the generation times for the responses of PUFs based on these retention times. In this work, we extend the work of Schaller et al. by presenting a run-time accessible implementation of this PUF and by further reducing the time required for the generation of its responses. Additionally, we also provide a more thorough investigation of the effects of temperature variations on the Row Hammer PUF and briefly discuss potential statistical relationships between the cells used to implement it. As our results prove, the Row Hammer PUF could potentially provide an adequate level of security for Commercial Off-The-Shelf (COTS) devices, if its dependency on temperature is mitigated, and, may therefore, be commercially adopted in the near future.

Typ des Eintrags: Artikel
Erschienen: 2023
Autor(en): Anagnostopoulos, Nikolaos Athanasios ; Arul, Tolga ; Fan, Yufan ; Hatzfeld, Christian ; Schaller, André ; Xiong, Wenjie ; Jain, Manishkumar ; Saleem, Muhammad Umair ; Lotichius, Jan ; Gabmeyer, Sebastian ; Szefer, Jakub ; Katzenbeisser, Stefan
Art des Eintrags: Zweitveröffentlichung
Titel: Intrinsic Run-Time Row Hammer PUFs: Leveraging the Row Hammer Effect for Run-Time Cryptography and Improved Security
Sprache: Englisch
Publikationsjahr: 21 November 2023
Ort: Darmstadt
Publikationsdatum der Erstveröffentlichung: 2018
Ort der Erstveröffentlichung: Basel
Verlag: MDPI
Titel der Zeitschrift, Zeitung oder Schriftenreihe: Cryptography
Jahrgang/Volume einer Zeitschrift: 2
(Heft-)Nummer: 3
Kollation: 45 Seiten
DOI: 10.26083/tuprints-00016439
URL / URN: https://tuprints.ulb.tu-darmstadt.de/16439
Zugehörige Links:
Herkunft: Zweitveröffentlichung DeepGreen
Kurzbeschreibung (Abstract):

Physical Unclonable Functions (PUFs) based on the retention times of the cells of a Dynamic Random Access Memory (DRAM) can be utilised for the implementation of cost-efficient and lightweight cryptographic protocols. However, as recent work has demonstrated, the times needed in order to generate their responses may prohibit their widespread usage. To address this issue, the Row Hammer PUF has been proposed by Schaller et al., which leverages the row hammer effect in DRAM modules to reduce the retention times of their cells and, therefore, significantly speed up the generation times for the responses of PUFs based on these retention times. In this work, we extend the work of Schaller et al. by presenting a run-time accessible implementation of this PUF and by further reducing the time required for the generation of its responses. Additionally, we also provide a more thorough investigation of the effects of temperature variations on the Row Hammer PUF and briefly discuss potential statistical relationships between the cells used to implement it. As our results prove, the Row Hammer PUF could potentially provide an adequate level of security for Commercial Off-The-Shelf (COTS) devices, if its dependency on temperature is mitigated, and, may therefore, be commercially adopted in the near future.

Freie Schlagworte: row hammer, dynamic random access memory (DRAM), physical unclonable function (PUF), run-time accessible, security primitive
Status: Verlagsversion
URN: urn:nbn:de:tuda-tuprints-164392
Zusätzliche Informationen:

This paper is an extended version of our paper published in Proceedings of 2017 IEEE International Symposium on Hardware Oriented Security and Trust (HOST), 1–5 May 2017.

Sachgruppe der Dewey Dezimalklassifikatin (DDC): 000 Allgemeines, Informatik, Informationswissenschaft > 004 Informatik
600 Technik, Medizin, angewandte Wissenschaften > 621.3 Elektrotechnik, Elektronik
Fachbereich(e)/-gebiet(e): 18 Fachbereich Elektrotechnik und Informationstechnik
18 Fachbereich Elektrotechnik und Informationstechnik > Mess- und Sensortechnik
20 Fachbereich Informatik
20 Fachbereich Informatik > Security Engineering
Hinterlegungsdatum: 21 Nov 2023 13:35
Letzte Änderung: 27 Nov 2023 12:05
PPN:
Zugehörige Links:
Export:
Suche nach Titel in: TUfind oder in Google

Verfügbare Versionen dieses Eintrags

Frage zum Eintrag Frage zum Eintrag

Optionen (nur für Redakteure)
Redaktionelle Details anzeigen Redaktionelle Details anzeigen