TU Darmstadt / ULB / TUbiblio

Intrinsic Run-Time Row Hammer PUFs: Leveraging the Row Hammer Effect for Run-Time Cryptography and Improved Security

Anagnostopoulos, Nikolaos Athanasios ; Arul, Tolga ; Fan, Yufan ; Hatzfeld, Christian ; Schaller, André ; Xiong, Wenjie ; Jain, Manishkumar ; Saleem, Umair ; Lotichius, Jan ; Gabmeyer, Sebastian ; Szefer, Jakub ; Katzenbeisser, Stefan (2018)
Intrinsic Run-Time Row Hammer PUFs: Leveraging the Row Hammer Effect for Run-Time Cryptography and Improved Security.
In: Cryptography, 2 (3)
doi: 10.3390/cryptography2030013
Artikel, Bibliographie

Dies ist die neueste Version dieses Eintrags.

Kurzbeschreibung (Abstract)

Physical Unclonable Functions (PUFs) based on the retention times of the cells of a Dynamic Random Access Memory (DRAM) can be utilised for the implementation of cost-efficient and lightweight cryptographic protocols. However, as recent work has demonstrated, the times needed in order to generate their responses may prohibit their widespread usage. To address this issue, the Row Hammer PUF has been proposed by Schaller et al., which leverages the row hammer effect in DRAM modules to reduce the retention times of their cells and, therefore, significantly speed up the generation times for the responses of PUFs based on these retention times. In this work, we extend the work of Schaller et al. by presenting a run-time accessible implementation of this PUF and by further reducing the time required for the generation of its responses. Additionally, we also provide a more thorough investigation of the effects of temperature variations on the Row Hammer PUF and briefly discuss potential statistical relationships between the cells used to implement it. As our results prove, the Row Hammer PUF could potentially provide an adequate level of security for Commercial Off-The-Shelf (COTS) devices, if its dependency on temperature is mitigated, and, may therefore, be commercially adopted in the near future.

Typ des Eintrags: Artikel
Erschienen: 2018
Autor(en): Anagnostopoulos, Nikolaos Athanasios ; Arul, Tolga ; Fan, Yufan ; Hatzfeld, Christian ; Schaller, André ; Xiong, Wenjie ; Jain, Manishkumar ; Saleem, Umair ; Lotichius, Jan ; Gabmeyer, Sebastian ; Szefer, Jakub ; Katzenbeisser, Stefan
Art des Eintrags: Bibliographie
Titel: Intrinsic Run-Time Row Hammer PUFs: Leveraging the Row Hammer Effect for Run-Time Cryptography and Improved Security
Sprache: Englisch
Publikationsjahr: 30 Juni 2018
Verlag: MDPI
Titel der Zeitschrift, Zeitung oder Schriftenreihe: Cryptography
Jahrgang/Volume einer Zeitschrift: 2
(Heft-)Nummer: 3
DOI: 10.3390/cryptography2030013
URL / URN: http://www.mdpi.com/2410-387X/2/3/13/htm
Zugehörige Links:
Kurzbeschreibung (Abstract):

Physical Unclonable Functions (PUFs) based on the retention times of the cells of a Dynamic Random Access Memory (DRAM) can be utilised for the implementation of cost-efficient and lightweight cryptographic protocols. However, as recent work has demonstrated, the times needed in order to generate their responses may prohibit their widespread usage. To address this issue, the Row Hammer PUF has been proposed by Schaller et al., which leverages the row hammer effect in DRAM modules to reduce the retention times of their cells and, therefore, significantly speed up the generation times for the responses of PUFs based on these retention times. In this work, we extend the work of Schaller et al. by presenting a run-time accessible implementation of this PUF and by further reducing the time required for the generation of its responses. Additionally, we also provide a more thorough investigation of the effects of temperature variations on the Row Hammer PUF and briefly discuss potential statistical relationships between the cells used to implement it. As our results prove, the Row Hammer PUF could potentially provide an adequate level of security for Commercial Off-The-Shelf (COTS) devices, if its dependency on temperature is mitigated, and, may therefore, be commercially adopted in the near future.

Freie Schlagworte: row hammer, dynamic random access memory (DRAM), physical unclonable function (PUF), run-time accessible, security primitive, Primitives, P3
Zusätzliche Informationen:

Erstveröffentlichung

Fachbereich(e)/-gebiet(e): 18 Fachbereich Elektrotechnik und Informationstechnik
18 Fachbereich Elektrotechnik und Informationstechnik > Mess- und Sensortechnik
20 Fachbereich Informatik
20 Fachbereich Informatik > Security Engineering
DFG-Sonderforschungsbereiche (inkl. Transregio)
DFG-Sonderforschungsbereiche (inkl. Transregio) > Sonderforschungsbereiche
Profilbereiche
Profilbereiche > Cybersicherheit (CYSEC)
DFG-Sonderforschungsbereiche (inkl. Transregio) > Sonderforschungsbereiche > SFB 1119: CROSSING – Kryptographiebasierte Sicherheitslösungen als Grundlage für Vertrauen in heutigen und zukünftigen IT-Systemen
Hinterlegungsdatum: 07 Aug 2018 13:33
Letzte Änderung: 01 Mär 2024 09:06
PPN:
Zugehörige Links:
Projekte: Project P3 within the DFG CRC 1119 CROSSING, DAAD Grant no. 57210638, NSF Grant no. 1651945
Sponsoren: DFG, DAAD, US NSF
Export:
Suche nach Titel in: TUfind oder in Google

Verfügbare Versionen dieses Eintrags

Frage zum Eintrag Frage zum Eintrag

Optionen (nur für Redakteure)
Redaktionelle Details anzeigen Redaktionelle Details anzeigen